STM32F103C8T6+无FIFO的OV7670的输出测试图像实例代码

一、工程文件链接及说明

Keil5工程文件:

STM32连接OV7670的工程文件
链接:https://pan.baidu.com/s/18td0AX0sOYzV7pidIf1B3w?pwd=7670

提取码:7670

  1. STM32输出MCO时钟(4MHz)给OV7670的工程文件

链接:https://pan.baidu.com/s/1NppyiDyKnUqcO3aky8gzZw?pwd=7670

提取码:7670

注:要用到两个STM32,不过如果读者有办法在同一个STM32上实现输出时钟到OV7670的同时不影响STM32的系统时钟(72MHz),也可以只用一个STM32。


工程文件中,主要文件在Hardware和User两个文件夹里面

Hardware文件夹中:

①Delay是延迟函数

②OLED是OLED显示屏的相关代码,用于调试

③Serial是串口传输数据到电脑的相关代码,需用到USB转TTL串口转接器,电脑端用的是“山外多功能调试助手来显示图片”

④OV7670是摄像头OV7670的相关代码

⑤SCCB是SCCB通信的有关代码


如果注释显示乱码,可以将编码方式在UTF-8和ANSI之间切换:(如下图操作)

引脚的接口对应说明、函数说明都在文档中有一些注释,但特别说明:

用本文档中的SCCB读写寄存器代码时,SOI_C和SIO_D的引脚须外加上拉4.7kΩ电阻,(如果不想外接上拉电阻的话可以去文章末尾第五大点看一看)如下:

二、图像显示结果预测-八色彩图与Shifting “1”

本文采用将数据传输至电脑,用山外多功能调试助手进行图像显示,山外多功能调试助手可自行在浏览器搜索进入官网下载,注意这里用的是“山外多功能调试助手(大分辨率版)”。

顺利的话,能得到下面这个图像(注意一些参数要设置正确(如下图))

上面这个图像时八色彩图,在数据手册中叫8-bar color bar

下面这个图叫shifting “1”

个人认为数据手册有误,这两个测试图像对应的寄存器配置反了

如果猜测有误,未修改的代码得到Shifting”1″的图像,读者可自行修改这两个寄存器来得到8-bar color bar(八色彩条)的图像。

另外,测试图像中的Fade to gray bar,数据手册中写的是对的,经测试,这个测试图像会不断输出不断变灰的八色彩条。

三、附主要代码(为便于查看)

为便于查看,主要代码也在这里贴出来(都能在工程文件中找到)

包括:SCCB和OV7670以及main的代码

main.c

#include "stm32f10x.h"                  // Device header
#include "Delay.h"
#include "OLED.h"
#include "OV7670.h"
#include "Serial.h"

/*
*器件引脚            对应STM32引脚            备注
 **********OV7670**********
 @SCL                PB10                    软件I2C
 @SDA                PB11
 @VS(VSYNC)            PB12
 @HS(HREF)(HSYNC)    PB13
 @PCLK                PB14
 @MCLK                PA8                        MCO功能的时钟输入OV7670
                                            为避免输出低速时钟时影响到STM32的速度
                                            这里用的是另一个STM32输出时钟到OV7670
 @D0-D7                PA0-PA7                    数据输出口
 @RST                3.3V                     0:RESET模式    1:一般模式
 @PWNN                GND                        0:工作         1:POWER DOWN
 ***********串口***********
 @Serial            PA9(TX) PA10(RX)        电脑用的调试助手是“山外多功能调试助手”
 ********OLED显示屏********
 @OLDE                PB8(SCL)PB9(SDA)
*/

int main()
{
    OLED_Init();
    OV7670_Init();
    Serial_Init();/* 波特率9600(在SYSCLOCK为72MHz时正常传输)*/
    
    while(1)
    {
        OLED_ShowString(1,1,"Welcome!");
        OV7670_GetPic();
    }
}

SCCB.h

#ifndef __SCCB_H
#define __SCCB_H

void SCCB_Init(void);
void SCCB_Start(void);
void SCCB_Stop(void);
void SCCB_SendByte(uint8_t Byte);
uint8_t SCCB_ReceiveByte(void);
void SCCB_SendNA(void);
uint8_t SCCB_ReceiveAck(void);

#endif

SCCB.c

#include "stm32f10x.h"                  // Device header
#include "Delay.h"

/*
  * @brief  修改SCL的电平
  * @param  0或者1
  * @retval 无
*/
void SCCB_W_SCL(uint8_t BitValue)
{
    GPIO_WriteBit(GPIOB, GPIO_Pin_10, (BitAction)BitValue);
    Delay_us(10);
}
/*
  * @brief  修改SDA的电平
  * @param  0或者1
  * @retval 无
*/
void SCCB_W_SDA(uint8_t BitValue)
{
    GPIO_WriteBit(GPIOB, GPIO_Pin_11, (BitAction)BitValue);
    Delay_us(10);
}
/*
  * @brief  读取SDA的电平
  * @param  无
  * @retval 0或者1
*/
uint8_t SCCB_R_SDA(void)
{
    uint8_t BitValue;
    BitValue = GPIO_ReadInputDataBit(GPIOB, GPIO_Pin_11);
    Delay_us(10);
    return BitValue;
}
/*
  * @brief  SCCB初始化
  * @param  无
  * @retval 无
*/
void SCCB_Init(void)
{
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);
    
    GPIO_InitTypeDef GPIO_InitStructure;
    GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_OD;
    GPIO_InitStructure.GPIO_Pin = GPIO_Pin_10 | GPIO_Pin_11;
    GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
    GPIO_Init(GPIOB, &GPIO_InitStructure);
    
    GPIO_SetBits(GPIOB, GPIO_Pin_10 | GPIO_Pin_11);
}
/*
  * @brief  产生SCCB开始信号
  * @param  无
  * @retval 无
*/
void SCCB_Start(void)
{
    SCCB_W_SDA(1);
    Delay_us(10);
    SCCB_W_SCL(1);
    Delay_us(2);
    SCCB_W_SDA(0);
    Delay_us(2);
    SCCB_W_SCL(0);
}
/*
  * @brief  产生SCCB结束信号
  * @param  无
  * @retval 无
*/
void SCCB_Stop(void)
{
    SCCB_W_SDA(0);
    SCCB_W_SCL(1);
    SCCB_W_SDA(1);
}
/*
  * @brief    SCCB发送一个字节
  * @param  一个字节数据
  * @retval 无
*/
void SCCB_SendByte(uint8_t Byte)
{
    uint8_t i;
    for (i = 0; i < 8; i ++)
    {    
        Delay_us(2);
        SCCB_W_SDA(Byte & (0x80 >> i));
        Delay_us(2);
        SCCB_W_SCL(1);
        Delay_us(2);
        SCCB_W_SCL(0);
        Delay_us(2);
    }
}
/*
  * @brief    SCCB接收一个字节
  * @param  无
  * @retval 接收到的字节
*/
uint8_t SCCB_ReceiveByte(void)
{
    uint8_t i, Byte = 0x00;
    SCCB_W_SDA(1);
    for (i = 0; i < 8; i ++)
    {
        SCCB_W_SCL(1);
        if (SCCB_R_SDA() == 1){Byte |= (0x80 >> i);}
        SCCB_W_SCL(0);
        Delay_us(1);
    }
    return Byte;
}
/*
  * @brief    SCCB发送NA信号
  * @param  无
  * @retval 无
*/
void SCCB_SendNA()
{
    SCCB_W_SDA(1);
    SCCB_W_SCL(1);
    SCCB_W_SCL(0);
    SCCB_W_SDA(0);//new
}
/*
  * @brief    SCCB接收Ack应答
  * @param  无
  * @retval 接收到的应答,若数据成功发送,应答为0,反之为1
*/
uint8_t SCCB_ReceiveAck(void)
{
    uint8_t AckBit;
    SCCB_W_SDA(1);
    SCCB_W_SCL(1);
    AckBit = SCCB_R_SDA();
    SCCB_W_SCL(0);
    return AckBit;
}

OV7670.h

#ifndef __OV7670_H
#define __OV7670_H


void OV7670_Init(void);

void OV7670_GetPic(void);

void OV7670_WriteReg(uint8_t RegAddress, uint8_t Data);
uint8_t OV7670_ReadReg(uint8_t RegAddress);

#endif

OV7670.c

#include "stm32f10x.h"                  // Device header
#include "SCCB.h"
#include "OLED.h"
#include "Delay.h"
#include "Serial.h"

/* 0100 0010 --- 写地址   ***   0100 0011 ---读地址 */
#define OV7670_ADDRESS 0x42

/* @brief 下面几个函数主要是用于便捷读取串口电平 */
uint8_t OV7670_VS(void)
{
    return GPIO_ReadInputDataBit(GPIOB,GPIO_Pin_12);
}
uint8_t OV7670_HREF(void)
{
    return GPIO_ReadInputDataBit(GPIOB,GPIO_Pin_13);
}
uint8_t OV7670_PCLK(void)
{
    return GPIO_ReadInputDataBit(GPIOB,GPIO_Pin_14);
}
/*
  * @brief    OV7670引脚初始化函数,在OV7670_Init() 里触发,不需外部调用
  * @param  无
  * @retval 无
*/
void OV7670_Pin_Init()
{    
    /* VS HREF 和 PCLK IO口初始化 */
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);        /* GPIOB使能 */
    
    GPIO_InitTypeDef GPIO_InitStruct;                   /* 结构体定义 */
    GPIO_InitStruct.GPIO_Pin=GPIO_Pin_12 | GPIO_Pin_13 | GPIO_Pin_14;  /* PB12,PB13,PB14 */
    GPIO_InitStruct.GPIO_Mode=GPIO_Mode_IPU;                   /* 上拉输入 */
    GPIO_InitStruct.GPIO_Speed=GPIO_Speed_50MHz;                   /*  50MHz*/
    GPIO_Init(GPIOB,&GPIO_InitStruct);
    
    /* D0-D7 IO口初始化 */
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);        /* GPIOA使能 */
    
    GPIO_InitStruct.GPIO_Pin=0xFF;                   /* PA0-PA7 */
    GPIO_InitStruct.GPIO_Mode=GPIO_Mode_IN_FLOATING;                   /* 上拉输入 */
    GPIO_InitStruct.GPIO_Speed=GPIO_Speed_50MHz;                   /*  50MHz*/
    GPIO_Init(GPIOA,&GPIO_InitStruct); 
}
/*
  * @brief    OV7670写寄存器
  * @param  写入寄存器的地址
  * @param  写入的内容(一个字节数据)
  * @retval 无
*/
void OV7670_WriteReg(uint8_t RegAddress, uint8_t Data)
{
    SCCB_Start();
    
    SCCB_SendByte(OV7670_ADDRESS);
    SCCB_ReceiveAck();            //SCCB_ReceiveAck()==0说明上一步执行成功,下面的两个也是
    
    SCCB_SendByte(RegAddress);
    SCCB_ReceiveAck();
    
    SCCB_SendByte(Data);
    SCCB_ReceiveAck();
    
    SCCB_Stop();
}
/*
  * @brief    OV7670读取寄存器
  * @param  读取寄存器的地址
  * @retval 相应地址的寄存器的数据
*/
uint8_t OV7670_ReadReg(uint8_t RegAddress)
{
    uint8_t Data;
    
    SCCB_Start();
    SCCB_SendByte(OV7670_ADDRESS);
    SCCB_ReceiveAck();            //SCCB_ReceiveAck()==0说明上一步执行成功,下面的两个也是
    SCCB_SendByte(RegAddress);
    SCCB_ReceiveAck();
    SCCB_Stop();        //这里的STOP很必要!!!!是SCCB不同于I2C的地方!!!
    
    SCCB_Start();
    SCCB_SendByte(OV7670_ADDRESS | 0x01);
    SCCB_ReceiveAck();
    Data = SCCB_ReceiveByte();
    SCCB_SendNA();
    SCCB_Stop();
    
    return Data;
}
/* 
  * @brief 参照其他教程的寄存器设置
*/
void OV7670_RegExample(void)
{
    OV7670_WriteReg(0x3a, 0x04);
    OV7670_WriteReg(0x40, 0xd0);
    OV7670_WriteReg(0x12, 0x14);

    OV7670_WriteReg(0x32, 0x80);
    OV7670_WriteReg(0x17, 0x16);
    OV7670_WriteReg(0x18, 0x04);
    OV7670_WriteReg(0x19, 0x02);
    OV7670_WriteReg(0x1a, 0x7b);
    OV7670_WriteReg(0x03, 0x06);

    OV7670_WriteReg(0x0c, 0x00);
    OV7670_WriteReg(0x15, 0x00);
    OV7670_WriteReg(0x3e, 0x00);
    OV7670_WriteReg(0x70, 0x3a);
    OV7670_WriteReg(0x71, 0x35);
    OV7670_WriteReg(0x72, 0x11);
    OV7670_WriteReg(0x73, 0x00);

    OV7670_WriteReg(0xa2, 0x02);
    OV7670_WriteReg(0x11, 0x81);
    OV7670_WriteReg(0x7a, 0x20);
    OV7670_WriteReg(0x7b, 0x1c);
    OV7670_WriteReg(0x7c, 0x28);

    OV7670_WriteReg(0x7d, 0x3c);
    OV7670_WriteReg(0x7e, 0x55);
    OV7670_WriteReg(0x7f, 0x68);
    OV7670_WriteReg(0x80, 0x76);
    OV7670_WriteReg(0x81, 0x80);

    OV7670_WriteReg(0x82, 0x88);
    OV7670_WriteReg(0x83, 0x8f);
    OV7670_WriteReg(0x84, 0x96);
    OV7670_WriteReg(0x85, 0xa3);
    OV7670_WriteReg(0x86, 0xaf);

    OV7670_WriteReg(0x87, 0xc4);
    OV7670_WriteReg(0x88, 0xd7);
    OV7670_WriteReg(0x89, 0xe8);
    OV7670_WriteReg(0x13, 0xe0);
    OV7670_WriteReg(0x00, 0x00);

    OV7670_WriteReg(0x10, 0x00);
    OV7670_WriteReg(0x0d, 0x00);
    OV7670_WriteReg(0x14, 0x28);
    OV7670_WriteReg(0xa5, 0x05);
    OV7670_WriteReg(0xab, 0x07);

    OV7670_WriteReg(0x24, 0x75);
    OV7670_WriteReg(0x25, 0x63);
    OV7670_WriteReg(0x26, 0xA5);
    OV7670_WriteReg(0x9f, 0x78);
    OV7670_WriteReg(0xa0, 0x68);

    OV7670_WriteReg(0xa1, 0x03);
    OV7670_WriteReg(0xa6, 0xdf);
    OV7670_WriteReg(0xa7, 0xdf);
    OV7670_WriteReg(0xa8, 0xf0);
    OV7670_WriteReg(0xa9, 0x90);

    OV7670_WriteReg(0xaa, 0x94);
    OV7670_WriteReg(0x13, 0xe5);
    OV7670_WriteReg(0x0e, 0x61);
    OV7670_WriteReg(0x0f, 0x4b);
    OV7670_WriteReg(0x16, 0x02);

    OV7670_WriteReg(0x1e, 0x37);
    OV7670_WriteReg(0x21, 0x02);
    OV7670_WriteReg(0x22, 0x91);
    OV7670_WriteReg(0x29, 0x07);
    OV7670_WriteReg(0x33, 0x0b);

    OV7670_WriteReg(0x35, 0x0b);
    OV7670_WriteReg(0x37, 0x1d);
    OV7670_WriteReg(0x38, 0x71);
    OV7670_WriteReg(0x39, 0x2a);
    OV7670_WriteReg(0x3c, 0x78);

    OV7670_WriteReg(0x4d, 0x40);
    OV7670_WriteReg(0x4e, 0x20);
    OV7670_WriteReg(0x69, 0x00);
    OV7670_WriteReg(0x6b, 0x40);
    OV7670_WriteReg(0x74, 0x19);
    OV7670_WriteReg(0x8d, 0x4f);

    OV7670_WriteReg(0x8e, 0x00);
    OV7670_WriteReg(0x8f, 0x00);
    OV7670_WriteReg(0x90, 0x00);
    OV7670_WriteReg(0x91, 0x00);
    OV7670_WriteReg(0x92, 0x00);

    OV7670_WriteReg(0x96, 0x00);
    OV7670_WriteReg(0x9a, 0x80);
    OV7670_WriteReg(0xb0, 0x84);
    OV7670_WriteReg(0xb1, 0x0c);
    OV7670_WriteReg(0xb2, 0x0e);

    OV7670_WriteReg(0xb3, 0x82);
    OV7670_WriteReg(0xb8, 0x0a);
    OV7670_WriteReg(0x43, 0x14);
    OV7670_WriteReg(0x44, 0xf0);
    OV7670_WriteReg(0x45, 0x34);

    OV7670_WriteReg(0x46, 0x58);
    OV7670_WriteReg(0x47, 0x28);
    OV7670_WriteReg(0x48, 0x3a);
    OV7670_WriteReg(0x59, 0x88);
    OV7670_WriteReg(0x5a, 0x88);

    OV7670_WriteReg(0x5b, 0x44);
    OV7670_WriteReg(0x5c, 0x67);
    OV7670_WriteReg(0x5d, 0x49);
    OV7670_WriteReg(0x5e, 0x0e);
    OV7670_WriteReg(0x64, 0x04);
    OV7670_WriteReg(0x65, 0x20);

    OV7670_WriteReg(0x66, 0x05);
    OV7670_WriteReg(0x94, 0x04);
    OV7670_WriteReg(0x95, 0x08);
    OV7670_WriteReg(0x6c, 0x0a);
    OV7670_WriteReg(0x6d, 0x55);


    OV7670_WriteReg(0x4f, 0x80);
    OV7670_WriteReg(0x50, 0x80);
    OV7670_WriteReg(0x51, 0x00);
    OV7670_WriteReg(0x52, 0x22);
    OV7670_WriteReg(0x53, 0x5e);
    OV7670_WriteReg(0x54, 0x80);

    OV7670_WriteReg(0x09, 0x03);

    OV7670_WriteReg(0x6e, 0x11);
    OV7670_WriteReg(0x6f, 0x9f);
    OV7670_WriteReg(0x55, 0x00);
    OV7670_WriteReg(0x56, 0x40);
    OV7670_WriteReg(0x57, 0x40);
    OV7670_WriteReg(0x6a, 0x40);
    OV7670_WriteReg(0x01, 0x40);
    OV7670_WriteReg(0x02, 0x40);
    OV7670_WriteReg(0x13, 0xe7);
    OV7670_WriteReg(0x15, 0x00);  
    
        
    OV7670_WriteReg(0x58, 0x9e);
    
    OV7670_WriteReg(0x41, 0x08);
    OV7670_WriteReg(0x3f, 0x00);
    OV7670_WriteReg(0x75, 0x05);
    OV7670_WriteReg(0x76, 0xe1);
    OV7670_WriteReg(0x4c, 0x00);
    OV7670_WriteReg(0x77, 0x01);
    OV7670_WriteReg(0x3d, 0xc2);    
    OV7670_WriteReg(0x4b, 0x09);
    OV7670_WriteReg(0xc9, 0x60);
    OV7670_WriteReg(0x41, 0x38);
    
    OV7670_WriteReg(0x34, 0x11);
    OV7670_WriteReg(0x3b, 0x02); 

    OV7670_WriteReg(0xa4, 0x89);
    OV7670_WriteReg(0x96, 0x00);
    OV7670_WriteReg(0x97, 0x30);
    OV7670_WriteReg(0x98, 0x20);
    OV7670_WriteReg(0x99, 0x30);
    OV7670_WriteReg(0x9a, 0x84);
    OV7670_WriteReg(0x9b, 0x29);
    OV7670_WriteReg(0x9c, 0x03);
    OV7670_WriteReg(0x9d, 0x4c);
    OV7670_WriteReg(0x9e, 0x3f);
    OV7670_WriteReg(0x78, 0x04);
    
    OV7670_WriteReg(0x79, 0x01);
    OV7670_WriteReg(0xc8, 0xf0);
    OV7670_WriteReg(0x79, 0x0f);
    OV7670_WriteReg(0xc8, 0x00);
    OV7670_WriteReg(0x79, 0x10);
    OV7670_WriteReg(0xc8, 0x7e);
    OV7670_WriteReg(0x79, 0x0a);
    OV7670_WriteReg(0xc8, 0x80);
    OV7670_WriteReg(0x79, 0x0b);
    OV7670_WriteReg(0xc8, 0x01);
    OV7670_WriteReg(0x79, 0x0c);
    OV7670_WriteReg(0xc8, 0x0f);
    OV7670_WriteReg(0x79, 0x0d);
    OV7670_WriteReg(0xc8, 0x20);
    OV7670_WriteReg(0x79, 0x09);
    OV7670_WriteReg(0xc8, 0x80);
    OV7670_WriteReg(0x79, 0x02);
    OV7670_WriteReg(0xc8, 0xc0);
    OV7670_WriteReg(0x79, 0x03);
    OV7670_WriteReg(0xc8, 0x40);
    OV7670_WriteReg(0x79, 0x05);
    OV7670_WriteReg(0xc8, 0x30);
    OV7670_WriteReg(0x79, 0x26); 
    OV7670_WriteReg(0x09, 0x00);
}
/*
  * @brief    寄存器初始化
*/
void OV7670_Configure(void)
{
    OLED_ShowString(1,1,"Init...");
    OV7670_WriteReg(0x12,0x80);//寄存器复位,所有寄存器复位为初始默认值
    Delay_s(3);
    OLED_Clear();
    
    OV7670_RegExample();/* 参照其他教程的寄存器设置,具体寄存器对应的功能暂未弄清 */

    OV7670_WriteReg(0x11, 0x9F);/* 输入时钟32分频 */
    OV7670_WriteReg(0x6b, 0x00);/* 设置输入时钟倍频为1 */
    /* PCLK频率:F=4MHz/32*1=0.125MHz */
    /* 经初步测试,PCLK<=0.8MHz时能够正常获取图像数据不丢失 */
    
    /*设置测试图案输出  这里设置的是输出八色彩条*/
    OV7670_WriteReg(0x70, 0x3A);
    OV7670_WriteReg(0x71, 0xB5);
    /*应当是数据手册有误,数据手册里写的是:
    (0x70[7],0x71[7])=(1,0)输出的是八色彩条        ×
    (0x70[7],0x71[7])=(0,1)输出的是Shifting “1”    ×
    而实际上是反过来的
    (0x70[7],0x71[7])=(1,0)输出的是Shifting “1”    ✓
    (0x70[7],0x71[7])=(0,1)输出的是八色彩条        ✓
    */
}
/*
  * @brief    OV7670初始化
  * @param  无
  * @retval 无
*/
void OV7670_Init(void)
{
    SCCB_Init();//SCCB初始化
    OV7670_Pin_Init();//引脚初始化
    OV7670_Configure();//寄存器预设
}
/*
  * @brief    读取图像信息并发送至电脑显示
  * @param  无
  * @retval 无
*/
uint8_t frame[320*40];
void OV7670_GetPic(void)
{
    uint16_t i,j;
    OLED_ShowString(2,1,"GetPics...");
    
    while(OV7670_VS()==0);/* 保证进入一个新的帧时序,而不是在帧时序的一半进入 */
    while(OV7670_VS()==1);
    for(i=0;i<240;i++)
    {        
        while(OV7670_HREF()==0);
        for(j=0;j<320*2;j++)
        {
            while(OV7670_PCLK()==0);
            frame[640*i+j]=GPIOA->IDR&0xFF;
            while(OV7670_PCLK()==1);
        }
        if(i==19) break;
        while(OV7670_HREF()==1);
    }
    while(OV7670_VS()==0);
    
    OLED_ShowString(2,1,"Sending... ");
    Serial_SendByte(0x01);
    Serial_SendByte(0xFE);
    for(i=0;i<320*40;i++)
    {
        Serial_SendByte(frame[i]);
    }
    Serial_SendByte(0xFE);
    Serial_SendByte(0x01);
    
    OLED_ShowString(4,1,"SUCCESS  ");
    Delay_ms(1000);
}

四、有关时钟的注意事项

1.PCLK时钟频率的计算问题:

PCLK时钟频率与 ①输入时钟频率 ②OV7670内部时钟分频 ③OV7670内部时钟倍频 有关

(1)输入时钟频率

由于无FIFO的OV7670不自带晶振,需要外部输入时钟入MCLK才能正常使用,第二个工程文件中输出频率为4Mhz的时钟给OV7670,这个频率可以修改。

(2)OV7670内部时钟分频 & OV7670内部时钟倍频

第一个0x11的5-0位设置的是输入时钟分频,也就是输入时钟除以(5-0位+1)

第二个0x6B的7-6位设置的是输入时钟倍频,也就是输入时钟乘以xxxx

(3)计算PCLK时钟频率实例

若输入时钟为4MHz

且设置

0x11 为 0x9F 即1001 1111,则5-0位为二进制的 1 1111 即31,则输入时钟要除以31+1=32

0x6B 为 0x00 即0000 0000,则7-6位为00,对应表中的Bypass PLL也就是倍频系数为1

最终PCLK的时钟为:F=(4MHz / 32 )* 1 = 0.125 MHz

2.控制OV7670的STM32的时钟频率与PCLK时钟频率

控制OV7670的STM32也即第一个工程文件对应的STM32,它的系统时钟频率为72MHz(已达最大值),PCLK的频率可调,不过需要注意PCLK的时钟频率过高时STM32会来不及接收图像数据而导致数据部分丢失,图像显示不正常。因而PCLK的频率要低一些,经初步测试,PCLK时钟频率小于0.8MHz时才能得到正常的图像数据。

五、关于前面提到的SOI_C和SIO_D引脚须外加上拉4.7kΩ电阻

  1. 外接上拉电阻的原因

首先说明,这个外加上拉电阻是由SCCB.c文件决定的,SCCB.c有不同的写法,本文采用的写法决定了必须外接4.7kΩ外加电阻。具体原因见:

【51单片机入门教程-2020版 程序全程纯手打 从零开始入门】 https://www.bilibili.com/video/BV1Mb411e7re/?p=27&share_source=copy_web&vd_source=927e415597edbca66da8be69a1476e61

这个视频从42分钟左右开始看就好了

  1. 不外接上拉电阻的解决方案

将下载得到的工程文件中的SCCB.c中的代码替换成下面的代码(直接全部复制粘贴替换掉SCCB.c原来的代码就好)

#include "stm32f10x.h"                  // Device header
#include "Delay.h"

/*
  * @brief  修改SCL的电平
  * @param  0或者1
  * @retval 无
*/
void SCCB_W_SCL(uint8_t BitValue)
{
    GPIO_WriteBit(GPIOB, GPIO_Pin_10, (BitAction)BitValue);
    Delay_us(10);
}
/*
  * @brief  修改SDA的电平
  * @param  0或者1
  * @retval 无
*/
void SCCB_W_SDA(uint8_t BitValue)
{
    GPIO_WriteBit(GPIOB, GPIO_Pin_11, (BitAction)BitValue);
    Delay_us(10);
}
/*
  * @brief  读取SDA的电平
  * @param  无
  * @retval 0或者1
*/
uint8_t SCCB_R_SDA(void)
{
    uint8_t BitValue;
    BitValue = GPIO_ReadInputDataBit(GPIOB, GPIO_Pin_11);
    Delay_us(10);
    return BitValue;
}
/*
  * @brief    设置SDA为输入模式
  * @param  无
  * @retval 无
*/
void SCCB_SDA_IN(void)
{
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);
    
    GPIO_InitTypeDef GPIO_InitStructure;
    GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;
    GPIO_InitStructure.GPIO_Pin = GPIO_Pin_11;
    GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
    GPIO_Init(GPIOB, &GPIO_InitStructure);
    
    GPIO_SetBits(GPIOB, GPIO_Pin_11);
}
/*
  * @brief    设置SDA为输出模式
  * @param  无
  * @retval 无
*/
void SCCB_SDA_OUT(void)
{
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);
    
    GPIO_InitTypeDef GPIO_InitStructure;
    GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
    GPIO_InitStructure.GPIO_Pin = GPIO_Pin_11;
    GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
    GPIO_Init(GPIOB, &GPIO_InitStructure);
    
    GPIO_SetBits(GPIOB, GPIO_Pin_11);
}
/*
  * @brief  SCCB初始化,初始时设置SCL和SDA都为输出,只有主机在控制SCL,因此设置成推挽输出即可
            主机(STM32)和从机(OV7670)都有在控制SDA,但是大部分时间都是主机控制SDA,因此设置
            成推挽输出,需要时再将SDA设置成上拉输入,从机控制完再换回推挽输出模式。
  * @param  无
  * @retval 无
*/
void SCCB_Init(void)
{
    RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);
    
    GPIO_InitTypeDef GPIO_InitStructure;
    GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;/* 推挽输出 */
    GPIO_InitStructure.GPIO_Pin = GPIO_Pin_10 | GPIO_Pin_11;/* SCL和SDA */
    GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
    GPIO_Init(GPIOB, &GPIO_InitStructure);
    
    GPIO_SetBits(GPIOB, GPIO_Pin_10 | GPIO_Pin_11);
}
/*
  * @brief  产生SCCB开始信号
  * @param  无
  * @retval 无
*/
void SCCB_Start(void)
{
    SCCB_W_SDA(1);
    Delay_us(10);
    SCCB_W_SCL(1);
    Delay_us(2);
    SCCB_W_SDA(0);
    Delay_us(2);
    SCCB_W_SCL(0);
}
/*
  * @brief  产生SCCB结束信号
  * @param  无
  * @retval 无
*/
void SCCB_Stop(void)
{
    SCCB_W_SDA(0);
    SCCB_W_SCL(1);
    SCCB_W_SDA(1);
}
/*
  * @brief    SCCB发送一个字节
  * @param  一个字节数据
  * @retval 无
*/
void SCCB_SendByte(uint8_t Byte)
{
    uint8_t i;
    for (i = 0; i < 8; i ++)
    {    
        Delay_us(2);
        SCCB_W_SDA(Byte & (0x80 >> i));
        Delay_us(2);
        SCCB_W_SCL(1);
        Delay_us(2);
        SCCB_W_SCL(0);
        Delay_us(2);
    }
}
/*
  * @brief    SCCB接收一个字节
  * @param  无
  * @retval 接收到的字节
*/
uint8_t SCCB_ReceiveByte(void)
{
    
    uint8_t i, Byte = 0x00;
    SCCB_SDA_IN();/* 转换SDA为输入模式 */
    for (i = 0; i < 8; i ++)
    {
        SCCB_W_SCL(1);
        if (SCCB_R_SDA() == 1){Byte |= (0x80 >> i);}
        SCCB_W_SCL(0);
        Delay_us(1);
    }
    SCCB_SDA_OUT();/* 从机控制完SDA了,便转换SDA为输出模式 */
    return Byte;
}
/*
  * @brief    SCCB发送NA信号
  * @param  无
  * @retval 无
*/
void SCCB_SendNA()
{
    SCCB_W_SDA(1);
    SCCB_W_SCL(1);
    SCCB_W_SCL(0);
    SCCB_W_SDA(0);//new
}
/*
  * @brief    SCCB接收Ack应答
  * @param  无
  * @retval 接收到的应答,若数据成功发送,应答为0,反之为1
*/
uint8_t SCCB_ReceiveAck(void)
{
    uint8_t AckBit;
    SCCB_SDA_IN();/* 转换SDA为输入模式 */
    SCCB_W_SCL(1);
    AckBit = SCCB_R_SDA();
    SCCB_W_SCL(0);
    SCCB_SDA_OUT();/* 转换SDA为输入模式 */
    return AckBit;
}
物联沃分享整理
物联沃-IOTWORD物联网 » STM32F103C8T6+无FIFO的OV7670的输出测试图像实例代码

2 评论

  1. 可以分享一下调试助手嘛感谢

  2. 大佬,为什么PB12、13、14一直都是高电平呢

发表评论