在线生成CRC校验Verilog代码工具

CRC校验Verilog代码在线生成

  在FPGA设计的过程中,在有些场景下,我们需要用到CRC(Cyclic Redundancy Check)校验码,比如以太网报文、信道编码等。对应的,我们需要编写相应的Verilog代码用于计算对应的CRC校验码。我们可以根据CRC校验的原理自己编写一个产生CRC校验码的Verilog模块,也可以通过在线网站进行直接生成相应的Verilog代码,之前网上推荐的大多是easisc.com,但是发现这个网站下的在线CRC校验Verilog代码生成器不存在了,于是找到以下替代网站,可以实现同样的功能。

Generator for CRC HDL code

  打开网站,界面如下图所示,按照自己的需求进行选择,最后生成Verilog代码或者模块。

  以标准的CRC-32为例,生成Verilog模块代码,如下图所示。

  顺便说一句,CRC-X标准可以查看维基百科下对CRC的介绍,也可以自行百度,下图是维基百科对CRC标准介绍的部分内容。至于在使用CRC时要用多长的CRC校验码,不同的设计会有对应的标准,在这里不进行介绍。

物联沃分享整理
物联沃-IOTWORD物联网 » 在线生成CRC校验Verilog代码工具

发表评论