使用51单片机控制步进电机:实现精准的运动控制

一.实验器件

1.STC89C52RC的学习板子一个

2.电机型号为28-YJ-的步进电机一个

3.图片如下

 

二.电路的设计

我们都知道,根据单片机的控制程序根据电路的不同,程序也是不同的。其实说白了单片机所控制的就是电路。但是我们这个学习版的电路是以及设计和封装好的,我们要理解它的控制程序就必须要对它的电路有所了解。

        这个就是我们所用的学习版51单片机控制步进的电路图,我们可以看到,它没有直接用51单片机的I/O口输出来控制步进电机,而是加了一个ULN2003的驱动芯片,可能就有同学要提出疑问了,为什么要加这个驱动芯片呢,我能不加这个驱动芯片吗?

        答案肯定是不行的,不加会出现什么后果呢,如果不加驱动芯片,不是步进电机驱动不起来,就是电流过大,导致单片机被烧毁。我们加的这个驱动芯片,其实就是起到了一个放大电流的作用,相当于一个放大器。

        我简单说一下这个电路吧,我们看图的最左侧,单片机的P1.0到P1.5口分别给了ULN2003的1到5五个引脚,所以对应的,它的OUT1到OUT5就会输出增幅过的电流,来驱动步进电机旋转,再看最右边这个图,我们就知道ULN2003的OUT1到OUT4这四个引脚就用来给步进电机的四个相供电,来驱动步进电机实现正反转,以及加速减速,停止的动作。

        如果有同学不知道步进电机到底是怎么旋转工作的,其实原理很简单,我在这里就不再过多阐述了,大家花上3,5分钟去B站搜个视频就明白了。我们着重说程序的配置以及控制思路。

三.程序部分(如果电路不同,程序照搬照抄肯定是错的)

1.先看头文件

#include <REG52.H>
#include "public.h"
#include "stepmotor.h"
#include "key.h"

  2.public子文件以及头文件中的内容

#ifndef _public_H
#define _public_H

#include "reg52.h"

typedef unsigned int u16;	//对系统默认数据类型进行重定义
typedef unsigned char u8;
typedef unsigned long u32;


void Delay(unsigned int xms);
#endif

这个头文件里面我自己重新定义了一些数据类型,就是换个简单点的名字,符合我的习惯,用起来更舒服一些。

里面其实就是一个延时函数,如果参数给1,就是延时1毫秒

#include "public.h"
void Delay(unsigned int xms)
{
	unsigned char i, j;
	while(xms--)
	{
		i = 2;
		j = 239;
		do
		{
			while (--j);
		} while (--i);
	}
}

 子文件里面就是一个延时函数,入口参数给1,就是延时1毫秒

3.stepmotor的头文件以及子文件的内容

#ifndef __stepmotor_H__
#define __stepmotor_H__

#include <REG52.H>
#include "public.h"

//对步进电机引脚的定义
sbit IN1_D=P1^0;
sbit IN1_C=P1^1;
sbit IN1_B=P1^2;
sbit IN1_A=P1^3;

void step_28byj48_control(u8 step,u8 dir);

#endif

 这个头文件里面一个是定义了连接步进电机四个相的四个引脚,P1.0到P1.3,不明白的看上面的电路图就好,这个都是对照我们的电路图去设置的。

然后里面定义了一个函数,就是步进电机的驱动函数。

#include "stepmotor.h"
//************************步进电机旋转配置
void step_28byj48_control(u8 step,u8 dir)//步数和方向设置函数
{
	u8 temp=step;
	
	if(dir==0) temp=7-step;
	
	switch(temp)
	{
		case 0:	IN1_A=1;IN1_B=1;IN1_C=1;IN1_D=0;break;
		case 1:	IN1_A=1;IN1_B=1;IN1_C=0;IN1_D=0;break;
		case 2:	IN1_A=1;IN1_B=1;IN1_C=0;IN1_D=1;break;
		case 3:	IN1_A=1;IN1_B=0;IN1_C=0;IN1_D=1;break;
		case 4:	IN1_A=1;IN1_B=0;IN1_C=1;IN1_D=1;break;
		case 5:	IN1_A=0;IN1_B=0;IN1_C=1;IN1_D=1;break;
		case 6:	IN1_A=0;IN1_B=1;IN1_C=1;IN1_D=1;break;
		case 7:	IN1_A=0;IN1_B=1;IN1_C=1;IN1_D=0;break;	
	}
}

         我着重说一下这个函数,这个函数你直接用步进电机是驱动不了的,我这里是分八步进行控制,也就是八拍,为什么说这个函数直接用是不行的。因为我这个函数里面,每一步是没有加延时,而每一步的延时长度就决定了步进电机整体的速度,我测试了一下,我这个电机每一步的延时低于1毫秒是不动的,当然,延时过高它也动不了。这就需要我们在它合理的频率范围内去设置它每一步的延时,而这个延时我没有直接加在这个函数里面,而是把它写在主程序里面了。

        而这个函数有两个入口参数,一个参数控制步进电机走步,一个参数控制步进电机的正反转。控制走步就好说了,我让步数一直加,知道加到8,我再让步数等于0,这样就可以实现步进带电机一圈一圈的旋转;而反转的改变也不难,假设我开始 让步进电机从第0步走到第7步为正转,那么我现在让步进电机从第7步往第0步走不就是反转了吗。如果实现,也很简单,请看这个函数里面的那个if语句。 

4.key的子文件以及头文件内容

#ifndef __key_H__
#define __key_H__

#include <REG52.H>

//对相关按键引脚的定义
sbit KEY1=P3^1;
sbit KEY2=P3^0;
sbit KEY3=P3^2;
sbit KEY4=P3^3;

//使用宏定义独立按键按下的键值
#define KEY1_PRESS	1
#define KEY2_PRESS	2
#define KEY3_PRESS	3
#define KEY4_PRESS	4
#define KEY_UNPRESS	0

unsigned char key_scan();


#endif

key就是有关我们学习版上四个独立按键的定义。

里面的函数就是接收四个独立按键的按下的信息,然后返回独立按键的键值,然后我们就可以根据返回的键值是多少,然后就能判断是哪个按键按下了。

#include "key.h"
#include "public.h"


//************************按键检测
unsigned char key_scan()
{
	static u8 key=1;

//	if(mode)key=1;//连续扫描按键
	if(key==1&&(KEY1==0||KEY2==0||KEY3==0||KEY4==0))//任意按键按下
	{
		delay_10us(1000);//消抖
		key=0;
		if(KEY1==0)
			return KEY1_PRESS;
		else if(KEY2==0)
			return KEY2_PRESS;
		else if(KEY3==0)
			return KEY3_PRESS;
		else if(KEY4==0)
			return KEY4_PRESS;	
	}
	else if(KEY1==1&&KEY2==1&&KEY3==1&&KEY4==1)	//无按键按下
	{
		key=1;			
	}
	return KEY_UNPRESS;		
}

有关这个按键函数的部分我就不多说了。

5.主程序部分

#include <REG52.H>
#include "public.h"
#include "stepmotor.h"
#include "key.h"


#define SPEEDMAX 1//设定步进旋转速度最大值
#define SPEEDMIN 5//设置步进旋转速度最小值


//**********************标志位的定义
int stepmove=0;//步进电机旋转标志位,0为停止,1为旋转
void main()
{	
	u8 key=0;
	u8 dir=0;
	u8 step=0;
	u8 speed=SPEEDMAX;	

	time0_init();//定时器0中断配置
	
	while(1)
	{		
		key=key_scan();	
//***************步进电机转停与转向控制模块		
			if(key==KEY1_PRESS)//按键一按下令步进电机旋转或停止
			{
				stepmove=!stepmove;
			}				
		
			if(stepmove==1)	//判断是否要让步进电机旋转
			{
				step_28byj48_control(step++,dir);
				if(step==8)	step=0;
				Delay(speed);
			}
			
			if(key==KEY4_PRESS)//按下按键四令步进电机反转	
			{
				dir=!dir;			
			}	
//*********步进电机速度控制环节		
			else if (key==KEY2_PRESS)//按下按键二令步进电机加速se if (key==KEY3_PRESS)  
			{
				if(speed>SPEEDMAX)
					speed-=1;
			}	
			{
				if(speed<SPEEDMIN) //按下按键三令步进电机减速
					speed+=1;	
			}delay_ms(SPEEDMAX);//通过每一步的延时来调节步进电机的速度			
	}	//while
}//main

主程序里面我特意给了很详细的注释,大家可以自己看,关于里面用到的我自己定义的一些函数和头文件,前面也解释的非常清楚,如果有什么问题,欢迎大家在评论区发表评论和意见,也可以私聊我。

物联沃分享整理
物联沃-IOTWORD物联网 » 使用51单片机控制步进电机:实现精准的运动控制

发表评论